Apa itu ALU (Unit Logika Aritmatika)?

Singkat untuk unit logika aritmatika, ALU adalah sirkuit digital yang kompleks; salah satu dari banyak komponen dalam unit pemrosesan pusat komputer. Ia melakukan operasi bitwise dan matematika pada angka-angka biner dan merupakan komponen terakhir untuk melakukan perhitungan dalam prosesor. ALU menggunakan operan dan kode yang memberitahukan operasi mana yang harus dilakukan untuk input data. Setelah informasi diproses oleh ALU, informasi tersebut dikirim ke memori komputer.

Beberapa Unit Logika Aritmatika dapat ditemukan di CPU, GPU, dan FPU. Dalam beberapa prosesor komputer, ALU dibagi menjadi AU dan LU. AU melakukan operasi aritmatika, dan LU melakukan operasi logis.

Akronim komputer, Unit Kontrol, istilah CPU, Memori utama, Arsitektur Von Neumann